CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog traffic

搜索资源列表

  1. trafficlight

    0下载:
  2. 已应用在北京某校园内的交通灯控制程序,可以自动控制,手动控制,可以输入设定时间等等。verilog源代码-Has been used in a Beijing campus traffic light control procedures can be automatic, manual control, you can enter the set-up time, etc.. verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7857
    • 提供者:johnnyz
  1. traffic

    0下载:
  2. 模拟交通灯 verilog CPLD EPM1270 源代码-Simulation of traffic lights verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:191315
    • 提供者:韩思贤
  1. traffic

    0下载:
  2. Verilog HDL语言设计的交通灯设计-Verilog HDL language designed traffic light design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:312754
    • 提供者:yyfeng
  1. Verilog

    0下载:
  2. VHDL实现的红绿灯控制系统,简单而又实用。-VHDL to achieve traffic light control system, a simple and practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:123839
    • 提供者:卢鑫
  1. traffic_controller

    0下载:
  2. it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.-it is a verilog code written for traffic light controlle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:35477
    • 提供者:yasir ateeq
  1. traffic

    0下载:
  2. verilog HDl 交通灯的实现,而且这是有别于一般的vhdl语言-verilog HDl traffic light
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:324319
    • 提供者:萧海武
  1. traffic_light_verilog

    0下载:
  2. 交通灯控制器,verilog,可控制两个方向各四盏灯的亮灭,并具有时间显示-traffic light controllor,by verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:977
    • 提供者:bighen
  1. traffic

    0下载:
  2. 一个很好的交通灯控制的Verilog HDL实现方式,包括LED显示部分。-A good control of traffic lights to achieve the Verilog HDL, including the LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1041
    • 提供者:keke
  1. traffic

    0下载:
  2. verilog编写的一个交通灯程序,利用状态机实现。压缩包内有说明文档,源代码及时序截图-verilog prepared a program of traffic lights, the use of state machine to achieve. Compressed packet, there are documentation, source code and timing Screenshots
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:497556
    • 提供者:尹力超
  1. traffic

    0下载:
  2. verilog交通灯程式,分别A方向和B方向的交通灯,-verilog of traffic light system,which are A direction and B direction of traffic light.
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:476952
    • 提供者:llpru
  1. Verilog_traffic_control

    0下载:
  2. verilog,交通灯控制器,包括左/右拐,红、黄、绿灯。-verilog, traffic light controllers, including the left/right, red, yellow, green.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:985
    • 提供者:世海
  1. traffic-light

    0下载:
  2. 一个交通控制灯的设计,用于十字路口,有倒计时功能,Verilog语言编写,Quratus II编译通过。-The design of a traffic control light for the intersection, a countdown function, Verilog language, Quratus II compile.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:553137
    • 提供者:姜涛
  1. verilog-traffic-light

    0下载:
  2. 基于VerilogHDL设计的交通灯控制系统本设计利用Verilog HDL 语言、采用层次化混合输入方式,可控制4个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。 -This design using Verilog HDL language, adopt hierarchical mixed input method, four intersection control of red, yellow, green, left four lamp lights, let its
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:98255
    • 提供者:镶牙另
  1. traffic

    0下载:
  2. Verilog 编写的交通灯的控制,其中包括根据主干道和支干道上车的流量来改变红绿灯长短时间-Written in Verilog control of traffic lights, including the main roads and branch roads according to traffic on the bus to change the length of time traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:253034
    • 提供者:何凌
  1. verilog_jiaotongdeng

    0下载:
  2. 为Verilog Hdl代码,实现交通灯系统每个路口每次绿灯维持的时间是40 秒,黄灯为5 秒 ,左转灯10秒,红灯60秒-Code for the Verilog Hdl, to achieve traffic light system to maintain each intersection green time for each 40 seconds, yellow for 5 seconds, turn left at light for 10 seconds, the red lig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:147972
    • 提供者:张树威
  1. Traffic-lights

    0下载:
  2. 该程序是由verilog实现的fpga的交通灯 适用于cycloneII芯片 适用于初学者 了解fpga的基本功能-The program is a verilog realize fpga of traffic light is applicable to cycloneII chip It is suitable for beginners to understand the basic function of fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:470792
    • 提供者:林原
  1. Verilog-traffic-light

    0下载:
  2. 该模块实现道路交通灯控制,使用verilog语言编写,在FPGA上实现。验证正确。-The module of traffic light control, the use of Verilog language, based on FPGA. Verify that the correct.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:1017029
    • 提供者:庄德坤
  1. verilog--traffic-lights

    0下载:
  2. 基于verilog的交通灯程序,实现了定时的灯的转换-verilog procedures for traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:4190
    • 提供者:万中原
  1. verilog-basic

    0下载:
  2. verilog基础编程,交通指示灯,时钟,LED等类容-Based programming verilog, traffic lights, clock, LED such as capacity
  3. 所属分类:Other systems

    • 发布日期:2017-05-30
    • 文件大小:12165490
    • 提供者:qiqi
  1. verilog-traffic

    0下载:
  2. 模拟一个简单的十字路口交通灯(各个只有红绿黄灯,没有转弯灯)。交通灯一共有4 个状态,一是倒计时60 秒,同时亮南北方向绿灯、东西方向红灯;二是倒计时5 秒,同时数码管闪烁显示‘0’,同时亮南北方向红灯、东西方向黄灯;三是倒计时30 秒,东西方向亮红灯、南北方向绿灯;四是倒计时5 秒,数码管闪烁显示‘0’,东西方向亮黄、南北方向红灯。四个状态循环就构成了一个简单的交通灯(未了降低难度,我们设计简化交通灯,与真实情况不太一样)。-Simulate a simple intersection tra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:229116
    • 提供者:pudn
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com